移位寄存器实现序列检测-Verilog「建议收藏」

移位寄存器实现序列检测-Verilog「建议收藏」//移位寄存器实现10010检测moduleDetect_10010( inputclk, inputrst_n, inputdata_in, outputreg[4:0]data_out, outputflag);always@(posedgeclkornegedgerst_n)begin if(!rst_n) data_out<=5’d0; else data_out<=({data_out[3:0],data_in

大家好,又见面了,我是你们的朋友全栈君。

//移位寄存器实现10010检测
module Detect_10010(
	input clk,
	input rst_n,
	input data_in,
	output reg [4:0] data_out,
	output flag
    );

always @ (posedge clk or negedge rst_n)
begin
	if(!rst_n)
		data_out <= 5'd0;
	else
		data_out <= ({ 
   data_out[3:0],data_in});
end

assign flag = (data_out == 5'b10010) ? 1'b1 : 1'b0;

endmodule
版权声明:本文内容由互联网用户自发贡献,该文观点仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请联系我们举报,一经查实,本站将立刻删除。

发布者:全栈程序员-站长,转载请注明出处:https://javaforall.net/160314.html原文链接:https://javaforall.net

(0)
全栈程序员-站长的头像全栈程序员-站长


相关推荐

  • jrebel 无效无法更换lisence

    jrebel 无效无法更换lisence

    2020年11月19日
    248
  • 基于STM32的电池管理系统触摸屏设计方案

    基于STM32的电池管理系统触摸屏设计方案

    2021年9月8日
    54
  • FastCGI协议内容「建议收藏」

    FastCGI协议内容「建议收藏」cgi或者fastcgi协议的定义:Webserver(web服务器软件如nginxhttpd等)与真实后台处理请求的程序之间通信的一种约定规范找了一篇文章把fastcgi协议讲得比较清楚,记录一下。原文地址:https://www.cnblogs.com/itbsl/p/9828776.html#%E5%86%8D%E7%9C%8B-fastcgi-%E5%8D…

    2022年7月11日
    14
  • java类型强制转换异常例子_java.lang.unsatisfiedlinkerror

    java类型强制转换异常例子_java.lang.unsatisfiedlinkerrorClassCastException,从字面上看,是类型转换错误,通常是进行强制类型转换时候出的错误。下面对产生ClassCastException异常的原因进行分析,然后给出这种异常的解决方法。这种异常是如何产生的呢?举一个比较形象的例子。Animal表示动物,Dog表示狗,是动物的子类,Cat表示猫,是动物的子类。看下面的代码:Animala1=newDog();//1A…

    2022年9月7日
    0
  • 官场之风流人生简介

    官场之风流人生简介简介  平民子弟意外身亡,突然成为官场豪门子弟的他,  是换一种人生,还是继续风流放纵的生涯?  纯真的妹妹、冷艳的女上司、受伤的旧情人,  本该是两个人的人生线索,从此都交错在他一人的身上…女性主角千娇百媚  说起更俗的都市文,就不得不提及女性角色,新书《官场之风流人生》的几个女性觉得就设置的很有感觉哦,小黎(妹控啊),陈丹(堂嫂),周裕(丈夫瘫痪的美女秘书长,这个

    2022年4月29日
    60
  • samba文件共享服务配置过程_互联网共享文件夹

    samba文件共享服务配置过程_互联网共享文件夹一、Samba简介1.1概述SMB(ServerMessagesBlock,信息服务块)是一种在局域网上共享文件和打印机的一种通信协议,它为局域网内的不同操作系统的计算机之间提供文件及打印机等资源的共享服务。SMB协议是客户机/服务器型协议,客户机通过该协议可以访问服务器上的共享文件系统、打印机及其他资源。1.2samba与FTPftp的优缺点:优点:文件传输、应用层协议、可跨平台缺点:只能实现文件传输,无法实现文件系统挂载;无法直接修改服务器端文件Samba的特性:

    2022年9月16日
    0

发表回复

您的邮箱地址不会被公开。 必填项已用 * 标注

关注全栈程序员社区公众号