移位寄存器实现序列检测-Verilog「建议收藏」

移位寄存器实现序列检测-Verilog「建议收藏」//移位寄存器实现10010检测moduleDetect_10010( inputclk, inputrst_n, inputdata_in, outputreg[4:0]data_out, outputflag);always@(posedgeclkornegedgerst_n)begin if(!rst_n) data_out<=5’d0; else data_out<=({data_out[3:0],data_in

大家好,又见面了,我是你们的朋友全栈君。

//移位寄存器实现10010检测
module Detect_10010(
	input clk,
	input rst_n,
	input data_in,
	output reg [4:0] data_out,
	output flag
    );

always @ (posedge clk or negedge rst_n)
begin
	if(!rst_n)
		data_out <= 5'd0;
	else
		data_out <= ({ 
   data_out[3:0],data_in});
end

assign flag = (data_out == 5'b10010) ? 1'b1 : 1'b0;

endmodule
版权声明:本文内容由互联网用户自发贡献,该文观点仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请联系我们举报,一经查实,本站将立刻删除。

发布者:全栈程序员-站长,转载请注明出处:https://javaforall.net/160314.html原文链接:https://javaforall.net

(0)
全栈程序员-站长的头像全栈程序员-站长


相关推荐

  • 箭头函数与普通函数的区别详解[通俗易懂]

    箭头函数与普通函数的区别详解[通俗易懂]箭头函数和普通函数的区别一.外形不同:箭头函数使用箭头定义,普通函数中没有代码实例如下://普通函数functionfunc(){//code}//箭头函数letfunc=()=>{//code}二.箭头函数都是匿名函数普通函数可以有匿名函数,也可以有具体名函数,但是箭头函数都是匿名函数。代码实例如下://具名函数functionfunc(){//code}//匿名函数letfunc=function(){//cod

    2022年6月26日
    24
  • bizchartsX轴文字问题

    bizchartsX轴文字问题1.X轴文字太长了,发生重叠//chartList是数据当横坐标大于15个值得时候,关闭自动旋转,自定义设置旋转角度12度<Axisname=”text”label={{rotate:chartList.length>15?12:0,autoRotate:chartList.length>15?fals…

    2025年7月21日
    0
  • idea2021激活破解方法

    idea2021激活破解方法,https://javaforall.net/100143.html。详细ieda激活码不妨到全栈程序员必看教程网一起来了解一下吧!

    2022年3月14日
    78
  • 【面试】面试中经常遇到的Git 操作命令

    【面试】面试中经常遇到的Git 操作命令

    2022年2月20日
    38
  • mybatis interceptor原理_mybatis拦截器获取表名

    mybatis interceptor原理_mybatis拦截器获取表名看了很多博客文章和,mybatis的拦截器概念还是不能很好理解,可能是因为自己基础不好或者理解方式和他人不同吧,所以决定自己花时间好好捋捋,然后把理解后的总结记录下来,供他人参考,也许你们的理解和我也不同,但是不妨花几分钟时间看看,说不定能帮助你文章主要是讲解org.apache.ibatis.plugin包下的Interceptor类和org.apache.ibatis….

    2022年9月9日
    0
  • linux软件_LINUX教程

    linux软件_LINUX教程常用指令ls      显示文件或目录   -l     列出文件详细信息l(list)   -a     列出当前目录下所有文件及目录,包括隐藏的a(all)mkdir    创建目录   -p     创建目录,若无父目录,则创建p(parent)cd       切

    2022年9月1日
    0

发表回复

您的邮箱地址不会被公开。 必填项已用 * 标注

关注全栈程序员社区公众号